VHDL中process运行中敏感量信号改变,会重新运行process吗?比如说:process(state,E)if(state=s1) --顺序语句一; M

来源:学生作业学帮网 编辑:学帮网 时间:2024/07/01 06:34:09

VHDL中process运行中敏感量信号改变,会重新运行process吗?
比如说:process(state,E)if(state=s1) --顺序语句一; M

是的,process里的敏感信号是触发条件,无论哪个敏感信号发生改变都会触发process进程,这时,process内部的所有阻塞和非阻塞语句都会执行.