Variable c:std_logic这句话是什么意思

来源:学生作业学帮网 编辑:学帮网 时间:2024/07/06 13:58:03

Variable c:std_logic这句话是什么意思

变量C: 标准_逻辑.